Адміністрація вирішила продати даний сайт. За детальною інформацією звертайтесь за адресою: rozrahu@gmail.com

Інформація про навчальний заклад

ВУЗ:
Національний університет Львівська політехніка
Інститут:
Не вказано
Факультет:
Не вказано
Кафедра:
Кафедра ЕОМ

Інформація про роботу

Рік:
2004
Тип роботи:
Звіт
Предмет:
Теорія і проектування комп’ютерних систем та мереж
Група:
КСМ-52

Частина тексту файла

Міністерство освіти і науки України Національний університет “Львівська політехніка” Кафедра ЕОМ Звіт по лабораторній роботі №6 з предмету “Теорія і проектування комп'ютерних систем та мереж” Львів – 2004 Тема роботи: синтез складного пристрою на ПЛІС та його симуляція після синтезу. Мета роботи: викорастовуючи VHDL-код розробленого у проведених раніше лабораторних роботах пристрою синтезувати Спеціалізовану Велику Інтегральну Схему (СВІС) на основі Програмованих Логічних Інтегрованих Схем (ПЛІС) різних фірм-виробників. Використовуючи розроблену у попередній лабораторній роботі систему тестування провести симуляцію пристрою після його синтезу. У випадку коректності роботи співставити та проаналізувати результати синтезу.   Провівши тестування суматора після синтезу пакетом Xilinx без врахування часових затримок отримали таку часову діаграму та порівняння результату з еталоном:  run 100 ns # : NOTE : DATA READING In_data_a and In_data_b and In_data_addsub... # : Time: 401 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 500 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 500 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 500 ns, Iteration: 0, TOP instance. run 100 ns # : NOTE : DATA READING In_data_a and In_data_b and In_data_addsub... # : Time: 501 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 600 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 600 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 600 ns, Iteration: 0, TOP instance. # : ERROR : HREAD Error: Read a ' ', expected a Hex character (0-F). # : Time: 600 ns, Iteration: 0, TOP instance. run 100 ns # : NOTE : DATA READING In_data_a and In_data_b and In_data_addsub... # : Time: 601 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 700 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 700 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 700 ns, Iteration: 0, TOP instance. run 100 ns # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 800 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 800 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 800 ns, Iteration: 0, TOP instance. # : NOTE : TextIO internal note: Attempt to read type "CHARACTER" from empty line. # : Time: 800 ns, Iteration: 0, Instance: \. # : ERROR : TextIO internal error: Could not read type "CHARACTER" from line. # : Time: 800 ns, Iteration: 0, Instance: \. run 100 ns # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 900 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 900 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 900 ns, Iteration: 0, TOP instance. # KERNEL: stopped at time: 900 ns Провівши тестування суматора після синтезу пакетом Xilinx з врахування часових затримок отримали таку часову діаграму та порівняння результату з еталоном:  run 100 ns # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 275 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 275 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# # : Time: 275 ns, Iteration: 0, TOP instance. run 100 ns # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 330 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 330 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY CO!# run 100 ns # : NOTE : DATA COMPARED SUCCESSFULLY Q!# # : Time: 385 ns, Iteration: 0, TOP instance. # : NOTE : DATA COMPARED SUCCESSFULLY OV!# # : Time: 385 ns, Iteration: 0, TOP instance...
Антиботан аватар за замовчуванням

31.03.2013 15:03

Коментарі

Ви не можете залишити коментар. Для цього, будь ласка, увійдіть або зареєструйтесь.

Завантаження файлу

Якщо Ви маєте на своєму комп'ютері файли, пов'язані з навчанням( розрахункові, лабораторні, практичні, контрольні роботи та інше...), і Вам не шкода ними поділитись - то скористайтесь формою для завантаження файлу, попередньо заархівувавши все в архів .rar або .zip розміром до 100мб, і до нього невдовзі отримають доступ студенти всієї України! Ви отримаєте грошову винагороду в кінці місяця, якщо станете одним з трьох переможців!
Стань активним учасником руху antibotan!
Поділись актуальною інформацією,
і отримай привілеї у користуванні архівом! Детальніше

Оголошення від адміністратора

Антиботан аватар за замовчуванням

пропонує роботу

Admin

26.02.2019 12:38

Привіт усім учасникам нашого порталу! Хороші новини - з‘явилась можливість кожному заробити на своїх знаннях та вміннях. Тепер Ви можете продавати свої роботи на сайті заробляючи кошти, рейтинг і довіру користувачів. Потрібно завантажити роботу, вказати ціну і додати один інформативний скріншот з деякими частинами виконаних завдань. Навіть одна якісна і всім необхідна робота може продатися сотні разів. «Головою заробляти» продуктивніше ніж руками! :-)

Новини